暂无描述

bartpleiter 030e6c305e More tests for 100mhz 3 月之前
Assembler 9438941e15 Initial setup to simulate 100mhz cpu in verilog testbench. 4 月之前
BCC 82e6f5e60e Disable autosync in scripts and programs. Is now responsibility of user as it takes very long to sync and quickly becomes annoying. 5 月之前
Documentation 9438941e15 Initial setup to simulate 100mhz cpu in verilog testbench. 4 月之前
Graphics 0fd222280a Added halfres rendering mode to gpu and mu. Added millis counter to mu. Raycaster now renders at half resolution and many improvements. Now at 40 to 70fps. 1 年之前
Programmer 24c2098f9e Added buffer for asm and bcc. Other minor improvements. fgpc build tools are confirmed working by compiling raycast on device. 5 月之前
Quartus 030e6c305e More tests for 100mhz 3 月之前
Verilog 030e6c305e More tests for 100mhz 3 月之前
.gitattributes b9bc26129d Added gitattributes to ignore the huge UARTbootloader.asm file. Deleted old quartus test project. 2 年之前
.gitignore da7bf6bf21 Added script for uploading text file. Updated syncCfiles script. 5 月之前
LICENSE.txt 9ec3298860 Updated README and added licence so repo can go public now 2 年之前
README.md 3d9b4194f7 Added initial documentation 2 年之前
requirements.txt 01a00e1603 Update new repo link, add requirements.txt. 5 月之前

README.md

FPGC6

FPGC Logo

Project Wiki