1
0

lpmmults_bb.v 3.8 KB

12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152535455565758596061626364656667686970717273747576777879808182838485
  1. // megafunction wizard: %LPM_MULT%VBB%
  2. // GENERATION: STANDARD
  3. // VERSION: WM1.0
  4. // MODULE: lpm_mult
  5. // ============================================================
  6. // File Name: lpmmults.v
  7. // Megafunction Name(s):
  8. // lpm_mult
  9. //
  10. // Simulation Library Files(s):
  11. // lpm
  12. // ============================================================
  13. // ************************************************************
  14. // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
  15. //
  16. // 23.1std.0 Build 991 11/28/2023 SC Lite Edition
  17. // ************************************************************
  18. //Copyright (C) 2023 Intel Corporation. All rights reserved.
  19. //Your use of Intel Corporation's design tools, logic functions
  20. //and other software and tools, and any partner logic
  21. //functions, and any output files from any of the foregoing
  22. //(including device programming or simulation files), and any
  23. //associated documentation or information are expressly subject
  24. //to the terms and conditions of the Intel Program License
  25. //Subscription Agreement, the Intel Quartus Prime License Agreement,
  26. //the Intel FPGA IP License Agreement, or other applicable license
  27. //agreement, including, without limitation, that your use is for
  28. //the sole purpose of programming logic devices manufactured by
  29. //Intel and sold by Intel or its authorized distributors. Please
  30. //refer to the applicable agreement for further details, at
  31. //https://fpgasoftware.intel.com/eula.
  32. module lpmmults (
  33. dataa,
  34. datab,
  35. result);
  36. input [31:0] dataa;
  37. input [31:0] datab;
  38. output [63:0] result;
  39. endmodule
  40. // ============================================================
  41. // CNX file retrieval info
  42. // ============================================================
  43. // Retrieval info: PRIVATE: AutoSizeResult NUMERIC "1"
  44. // Retrieval info: PRIVATE: B_isConstant NUMERIC "0"
  45. // Retrieval info: PRIVATE: ConstantB NUMERIC "0"
  46. // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
  47. // Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
  48. // Retrieval info: PRIVATE: Latency NUMERIC "0"
  49. // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
  50. // Retrieval info: PRIVATE: SignedMult NUMERIC "1"
  51. // Retrieval info: PRIVATE: USE_MULT NUMERIC "1"
  52. // Retrieval info: PRIVATE: ValidConstant NUMERIC "0"
  53. // Retrieval info: PRIVATE: WidthA NUMERIC "32"
  54. // Retrieval info: PRIVATE: WidthB NUMERIC "32"
  55. // Retrieval info: PRIVATE: WidthP NUMERIC "64"
  56. // Retrieval info: PRIVATE: aclr NUMERIC "0"
  57. // Retrieval info: PRIVATE: clken NUMERIC "0"
  58. // Retrieval info: PRIVATE: new_diagram STRING "1"
  59. // Retrieval info: PRIVATE: optimize NUMERIC "0"
  60. // Retrieval info: LIBRARY: lpm lpm.lpm_components.all
  61. // Retrieval info: CONSTANT: LPM_HINT STRING "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=5"
  62. // Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "SIGNED"
  63. // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MULT"
  64. // Retrieval info: CONSTANT: LPM_WIDTHA NUMERIC "32"
  65. // Retrieval info: CONSTANT: LPM_WIDTHB NUMERIC "32"
  66. // Retrieval info: CONSTANT: LPM_WIDTHP NUMERIC "64"
  67. // Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]"
  68. // Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]"
  69. // Retrieval info: USED_PORT: result 0 0 64 0 OUTPUT NODEFVAL "result[63..0]"
  70. // Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0
  71. // Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0
  72. // Retrieval info: CONNECT: result 0 0 64 0 @result 0 0 64 0
  73. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmults.v TRUE
  74. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmults.inc FALSE
  75. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmults.cmp FALSE
  76. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmults.bsf FALSE
  77. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmults_inst.v FALSE
  78. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmults_bb.v TRUE
  79. // Retrieval info: LIB_FILE: lpm