1
0

lpmmult.v 4.4 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111
  1. // megafunction wizard: %LPM_MULT%
  2. // GENERATION: STANDARD
  3. // VERSION: WM1.0
  4. // MODULE: lpm_mult
  5. // ============================================================
  6. // File Name: lpmmult.v
  7. // Megafunction Name(s):
  8. // lpm_mult
  9. //
  10. // Simulation Library Files(s):
  11. // lpm
  12. // ============================================================
  13. // ************************************************************
  14. // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
  15. //
  16. // 23.1std.0 Build 991 11/28/2023 SC Lite Edition
  17. // ************************************************************
  18. //Copyright (C) 2023 Intel Corporation. All rights reserved.
  19. //Your use of Intel Corporation's design tools, logic functions
  20. //and other software and tools, and any partner logic
  21. //functions, and any output files from any of the foregoing
  22. //(including device programming or simulation files), and any
  23. //associated documentation or information are expressly subject
  24. //to the terms and conditions of the Intel Program License
  25. //Subscription Agreement, the Intel Quartus Prime License Agreement,
  26. //the Intel FPGA IP License Agreement, or other applicable license
  27. //agreement, including, without limitation, that your use is for
  28. //the sole purpose of programming logic devices manufactured by
  29. //Intel and sold by Intel or its authorized distributors. Please
  30. //refer to the applicable agreement for further details, at
  31. //https://fpgasoftware.intel.com/eula.
  32. // synopsys translate_off
  33. `timescale 1 ps / 1 ps
  34. // synopsys translate_on
  35. module lpmmult (
  36. dataa,
  37. datab,
  38. result);
  39. input [31:0] dataa;
  40. input [31:0] datab;
  41. output [31:0] result;
  42. wire [31:0] sub_wire0;
  43. wire [31:0] result = sub_wire0[31:0];
  44. lpm_mult lpm_mult_component (
  45. .dataa (dataa),
  46. .datab (datab),
  47. .result (sub_wire0),
  48. .aclr (1'b0),
  49. .clken (1'b1),
  50. .clock (1'b0),
  51. .sclr (1'b0),
  52. .sum (1'b0));
  53. defparam
  54. lpm_mult_component.lpm_hint = "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=5",
  55. lpm_mult_component.lpm_representation = "UNSIGNED",
  56. lpm_mult_component.lpm_type = "LPM_MULT",
  57. lpm_mult_component.lpm_widtha = 32,
  58. lpm_mult_component.lpm_widthb = 32,
  59. lpm_mult_component.lpm_widthp = 32;
  60. endmodule
  61. // ============================================================
  62. // CNX file retrieval info
  63. // ============================================================
  64. // Retrieval info: PRIVATE: AutoSizeResult NUMERIC "0"
  65. // Retrieval info: PRIVATE: B_isConstant NUMERIC "0"
  66. // Retrieval info: PRIVATE: ConstantB NUMERIC "0"
  67. // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
  68. // Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
  69. // Retrieval info: PRIVATE: Latency NUMERIC "0"
  70. // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
  71. // Retrieval info: PRIVATE: SignedMult NUMERIC "0"
  72. // Retrieval info: PRIVATE: USE_MULT NUMERIC "1"
  73. // Retrieval info: PRIVATE: ValidConstant NUMERIC "0"
  74. // Retrieval info: PRIVATE: WidthA NUMERIC "32"
  75. // Retrieval info: PRIVATE: WidthB NUMERIC "32"
  76. // Retrieval info: PRIVATE: WidthP NUMERIC "32"
  77. // Retrieval info: PRIVATE: aclr NUMERIC "0"
  78. // Retrieval info: PRIVATE: clken NUMERIC "0"
  79. // Retrieval info: PRIVATE: new_diagram STRING "1"
  80. // Retrieval info: PRIVATE: optimize NUMERIC "0"
  81. // Retrieval info: LIBRARY: lpm lpm.lpm_components.all
  82. // Retrieval info: CONSTANT: LPM_HINT STRING "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=5"
  83. // Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED"
  84. // Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MULT"
  85. // Retrieval info: CONSTANT: LPM_WIDTHA NUMERIC "32"
  86. // Retrieval info: CONSTANT: LPM_WIDTHB NUMERIC "32"
  87. // Retrieval info: CONSTANT: LPM_WIDTHP NUMERIC "32"
  88. // Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]"
  89. // Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]"
  90. // Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL "result[31..0]"
  91. // Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0
  92. // Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0
  93. // Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0
  94. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmult.v TRUE
  95. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmult.inc FALSE
  96. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmult.cmp FALSE
  97. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmult.bsf FALSE
  98. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmult_inst.v FALSE
  99. // Retrieval info: GEN_FILE: TYPE_NORMAL lpmmult_bb.v TRUE
  100. // Retrieval info: LIB_FILE: lpm