altclkctrl_0.cds.lib 1.1 KB

123456789101112131415161718
  1. DEFINE std $CDS_ROOT/tools/inca/files/STD/
  2. DEFINE synopsys $CDS_ROOT/tools/inca/files/SYNOPSYS/
  3. DEFINE ieee $CDS_ROOT/tools/inca/files/IEEE/
  4. DEFINE ambit $CDS_ROOT/tools/inca/files/AMBIT/
  5. DEFINE vital_memory $CDS_ROOT/tools/inca/files/VITAL_MEMORY/
  6. DEFINE ncutils $CDS_ROOT/tools/inca/files/NCUTILS/
  7. DEFINE ncinternal $CDS_ROOT/tools/inca/files/NCINTERNAL/
  8. DEFINE ncmodels $CDS_ROOT/tools/inca/files/NCMODELS/
  9. DEFINE cds_assertions $CDS_ROOT/tools/inca/files/CDS_ASSERTIONS/
  10. DEFINE work ./../libraries/work/
  11. DEFINE altera_ver ./../libraries/altera_ver/
  12. DEFINE lpm_ver ./../libraries/lpm_ver/
  13. DEFINE sgate_ver ./../libraries/sgate_ver/
  14. DEFINE altera_mf_ver ./../libraries/altera_mf_ver/
  15. DEFINE altera_lnsim_ver ./../libraries/altera_lnsim_ver/
  16. DEFINE cycloneive_ver ./../libraries/cycloneive_ver/
  17. DEFINE altclkctrl_0 ./../libraries/altclkctrl_0/