DEFINE std $CDS_ROOT/tools/inca/files/STD/ DEFINE synopsys $CDS_ROOT/tools/inca/files/SYNOPSYS/ DEFINE ieee $CDS_ROOT/tools/inca/files/IEEE/ DEFINE ambit $CDS_ROOT/tools/inca/files/AMBIT/ DEFINE vital_memory $CDS_ROOT/tools/inca/files/VITAL_MEMORY/ DEFINE ncutils $CDS_ROOT/tools/inca/files/NCUTILS/ DEFINE ncinternal $CDS_ROOT/tools/inca/files/NCINTERNAL/ DEFINE ncmodels $CDS_ROOT/tools/inca/files/NCMODELS/ DEFINE cds_assertions $CDS_ROOT/tools/inca/files/CDS_ASSERTIONS/ DEFINE work ./../libraries/work/ DEFINE altera_ver ./../libraries/altera_ver/ DEFINE lpm_ver ./../libraries/lpm_ver/ DEFINE sgate_ver ./../libraries/sgate_ver/ DEFINE altera_mf_ver ./../libraries/altera_mf_ver/ DEFINE altera_lnsim_ver ./../libraries/altera_lnsim_ver/ DEFINE cycloneive_ver ./../libraries/cycloneive_ver/ DEFINE altclkctrl_0 ./../libraries/altclkctrl_0/