Нема описа

bartpleiter 030e6c305e More tests for 100mhz пре 3 месеци
Assembler 9438941e15 Initial setup to simulate 100mhz cpu in verilog testbench. пре 4 месеци
BCC 82e6f5e60e Disable autosync in scripts and programs. Is now responsibility of user as it takes very long to sync and quickly becomes annoying. пре 5 месеци
Documentation 9438941e15 Initial setup to simulate 100mhz cpu in verilog testbench. пре 4 месеци
Graphics 0fd222280a Added halfres rendering mode to gpu and mu. Added millis counter to mu. Raycaster now renders at half resolution and many improvements. Now at 40 to 70fps. пре 1 година
Programmer 24c2098f9e Added buffer for asm and bcc. Other minor improvements. fgpc build tools are confirmed working by compiling raycast on device. пре 5 месеци
Quartus 030e6c305e More tests for 100mhz пре 3 месеци
Verilog 030e6c305e More tests for 100mhz пре 3 месеци
.gitattributes b9bc26129d Added gitattributes to ignore the huge UARTbootloader.asm file. Deleted old quartus test project. пре 2 година
.gitignore da7bf6bf21 Added script for uploading text file. Updated syncCfiles script. пре 5 месеци
LICENSE.txt 9ec3298860 Updated README and added licence so repo can go public now пре 2 година
README.md 3d9b4194f7 Added initial documentation пре 2 година
requirements.txt 01a00e1603 Update new repo link, add requirements.txt. пре 5 месеци

README.md

FPGC6

FPGC Logo

Project Wiki