1
0

ddr.v 159 B

12345678910
  1. module ddr(
  2. input datain_h,
  3. input datain_l,
  4. input outclock,
  5. output wire dataout
  6. );
  7. assign dataout = outclock ? datain_h : datain_l;
  8. endmodule