mainpll.v 17 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260
  1. // megafunction wizard: %PLL Intel FPGA IP v21.1%
  2. // GENERATION: XML
  3. // mainpll.v
  4. // Generated using ACDS version 21.1 850
  5. `timescale 1 ps / 1 ps
  6. module mainpll (
  7. input wire refclk, // refclk.clk
  8. input wire rst, // reset.reset
  9. output wire outclk_0, // outclk0.clk
  10. output wire outclk_1, // outclk1.clk
  11. output wire outclk_2, // outclk2.clk
  12. output wire outclk_3, // outclk3.clk
  13. output wire outclk_4 // outclk4.clk
  14. );
  15. mainpll_0002 mainpll_inst (
  16. .refclk (refclk), // refclk.clk
  17. .rst (rst), // reset.reset
  18. .outclk_0 (outclk_0), // outclk0.clk
  19. .outclk_1 (outclk_1), // outclk1.clk
  20. .outclk_2 (outclk_2), // outclk2.clk
  21. .outclk_3 (outclk_3), // outclk3.clk
  22. .outclk_4 (outclk_4), // outclk4.clk
  23. .locked () // (terminated)
  24. );
  25. endmodule
  26. // Retrieval info: <?xml version="1.0"?>
  27. //<!--
  28. // Generated by Altera MegaWizard Launcher Utility version 1.0
  29. // ************************************************************
  30. // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
  31. // ************************************************************
  32. // Copyright (C) 1991-2023 Altera Corporation
  33. // Any megafunction design, and related net list (encrypted or decrypted),
  34. // support information, device programming or simulation file, and any other
  35. // associated documentation or information provided by Altera or a partner
  36. // under Altera's Megafunction Partnership Program may be used only to
  37. // program PLD devices (but not masked PLD devices) from Altera. Any other
  38. // use of such megafunction design, net list, support information, device
  39. // programming or simulation file, or any other related documentation or
  40. // information is prohibited for any other purpose, including, but not
  41. // limited to modification, reverse engineering, de-compiling, or use with
  42. // any other silicon devices, unless such use is explicitly licensed under
  43. // a separate agreement with Altera or a megafunction partner. Title to
  44. // the intellectual property, including patents, copyrights, trademarks,
  45. // trade secrets, or maskworks, embodied in any such megafunction design,
  46. // net list, support information, device programming or simulation file, or
  47. // any other related documentation or information provided by Altera or a
  48. // megafunction partner, remains with Altera, the megafunction partner, or
  49. // their respective licensors. No other licenses, including any licenses
  50. // needed under any third party's intellectual property, are provided herein.
  51. //-->
  52. // Retrieval info: <instance entity-name="altera_pll" version="21.1" >
  53. // Retrieval info: <generic name="debug_print_output" value="false" />
  54. // Retrieval info: <generic name="debug_use_rbc_taf_method" value="false" />
  55. // Retrieval info: <generic name="device_family" value="Cyclone V" />
  56. // Retrieval info: <generic name="device" value="5CEBA2F17A7" />
  57. // Retrieval info: <generic name="gui_device_speed_grade" value="1" />
  58. // Retrieval info: <generic name="gui_pll_mode" value="Integer-N PLL" />
  59. // Retrieval info: <generic name="gui_reference_clock_frequency" value="50.0" />
  60. // Retrieval info: <generic name="gui_channel_spacing" value="0.0" />
  61. // Retrieval info: <generic name="gui_operation_mode" value="direct" />
  62. // Retrieval info: <generic name="gui_feedback_clock" value="Global Clock" />
  63. // Retrieval info: <generic name="gui_fractional_cout" value="32" />
  64. // Retrieval info: <generic name="gui_dsm_out_sel" value="1st_order" />
  65. // Retrieval info: <generic name="gui_use_locked" value="false" />
  66. // Retrieval info: <generic name="gui_en_adv_params" value="false" />
  67. // Retrieval info: <generic name="gui_number_of_clocks" value="5" />
  68. // Retrieval info: <generic name="gui_multiply_factor" value="1" />
  69. // Retrieval info: <generic name="gui_frac_multiply_factor" value="1" />
  70. // Retrieval info: <generic name="gui_divide_factor_n" value="1" />
  71. // Retrieval info: <generic name="gui_cascade_counter0" value="false" />
  72. // Retrieval info: <generic name="gui_output_clock_frequency0" value="100.0" />
  73. // Retrieval info: <generic name="gui_divide_factor_c0" value="1" />
  74. // Retrieval info: <generic name="gui_actual_output_clock_frequency0" value="0 MHz" />
  75. // Retrieval info: <generic name="gui_ps_units0" value="degrees" />
  76. // Retrieval info: <generic name="gui_phase_shift0" value="0" />
  77. // Retrieval info: <generic name="gui_phase_shift_deg0" value="0.0" />
  78. // Retrieval info: <generic name="gui_actual_phase_shift0" value="0" />
  79. // Retrieval info: <generic name="gui_duty_cycle0" value="50" />
  80. // Retrieval info: <generic name="gui_cascade_counter1" value="false" />
  81. // Retrieval info: <generic name="gui_output_clock_frequency1" value="100.0" />
  82. // Retrieval info: <generic name="gui_divide_factor_c1" value="1" />
  83. // Retrieval info: <generic name="gui_actual_output_clock_frequency1" value="0 MHz" />
  84. // Retrieval info: <generic name="gui_ps_units1" value="degrees" />
  85. // Retrieval info: <generic name="gui_phase_shift1" value="0" />
  86. // Retrieval info: <generic name="gui_phase_shift_deg1" value="180.0" />
  87. // Retrieval info: <generic name="gui_actual_phase_shift1" value="0" />
  88. // Retrieval info: <generic name="gui_duty_cycle1" value="50" />
  89. // Retrieval info: <generic name="gui_cascade_counter2" value="false" />
  90. // Retrieval info: <generic name="gui_output_clock_frequency2" value="50.0" />
  91. // Retrieval info: <generic name="gui_divide_factor_c2" value="1" />
  92. // Retrieval info: <generic name="gui_actual_output_clock_frequency2" value="0 MHz" />
  93. // Retrieval info: <generic name="gui_ps_units2" value="degrees" />
  94. // Retrieval info: <generic name="gui_phase_shift2" value="0" />
  95. // Retrieval info: <generic name="gui_phase_shift_deg2" value="0.0" />
  96. // Retrieval info: <generic name="gui_actual_phase_shift2" value="0" />
  97. // Retrieval info: <generic name="gui_duty_cycle2" value="50" />
  98. // Retrieval info: <generic name="gui_cascade_counter3" value="false" />
  99. // Retrieval info: <generic name="gui_output_clock_frequency3" value="25.0" />
  100. // Retrieval info: <generic name="gui_divide_factor_c3" value="1" />
  101. // Retrieval info: <generic name="gui_actual_output_clock_frequency3" value="0 MHz" />
  102. // Retrieval info: <generic name="gui_ps_units3" value="degrees" />
  103. // Retrieval info: <generic name="gui_phase_shift3" value="0" />
  104. // Retrieval info: <generic name="gui_phase_shift_deg3" value="0.0" />
  105. // Retrieval info: <generic name="gui_actual_phase_shift3" value="0" />
  106. // Retrieval info: <generic name="gui_duty_cycle3" value="50" />
  107. // Retrieval info: <generic name="gui_cascade_counter4" value="false" />
  108. // Retrieval info: <generic name="gui_output_clock_frequency4" value="125.0" />
  109. // Retrieval info: <generic name="gui_divide_factor_c4" value="1" />
  110. // Retrieval info: <generic name="gui_actual_output_clock_frequency4" value="0 MHz" />
  111. // Retrieval info: <generic name="gui_ps_units4" value="degrees" />
  112. // Retrieval info: <generic name="gui_phase_shift4" value="0" />
  113. // Retrieval info: <generic name="gui_phase_shift_deg4" value="0.0" />
  114. // Retrieval info: <generic name="gui_actual_phase_shift4" value="0" />
  115. // Retrieval info: <generic name="gui_duty_cycle4" value="50" />
  116. // Retrieval info: <generic name="gui_cascade_counter5" value="false" />
  117. // Retrieval info: <generic name="gui_output_clock_frequency5" value="100.0" />
  118. // Retrieval info: <generic name="gui_divide_factor_c5" value="1" />
  119. // Retrieval info: <generic name="gui_actual_output_clock_frequency5" value="0 MHz" />
  120. // Retrieval info: <generic name="gui_ps_units5" value="ps" />
  121. // Retrieval info: <generic name="gui_phase_shift5" value="0" />
  122. // Retrieval info: <generic name="gui_phase_shift_deg5" value="0.0" />
  123. // Retrieval info: <generic name="gui_actual_phase_shift5" value="0" />
  124. // Retrieval info: <generic name="gui_duty_cycle5" value="50" />
  125. // Retrieval info: <generic name="gui_cascade_counter6" value="false" />
  126. // Retrieval info: <generic name="gui_output_clock_frequency6" value="100.0" />
  127. // Retrieval info: <generic name="gui_divide_factor_c6" value="1" />
  128. // Retrieval info: <generic name="gui_actual_output_clock_frequency6" value="0 MHz" />
  129. // Retrieval info: <generic name="gui_ps_units6" value="ps" />
  130. // Retrieval info: <generic name="gui_phase_shift6" value="0" />
  131. // Retrieval info: <generic name="gui_phase_shift_deg6" value="0.0" />
  132. // Retrieval info: <generic name="gui_actual_phase_shift6" value="0" />
  133. // Retrieval info: <generic name="gui_duty_cycle6" value="50" />
  134. // Retrieval info: <generic name="gui_cascade_counter7" value="false" />
  135. // Retrieval info: <generic name="gui_output_clock_frequency7" value="100.0" />
  136. // Retrieval info: <generic name="gui_divide_factor_c7" value="1" />
  137. // Retrieval info: <generic name="gui_actual_output_clock_frequency7" value="0 MHz" />
  138. // Retrieval info: <generic name="gui_ps_units7" value="ps" />
  139. // Retrieval info: <generic name="gui_phase_shift7" value="0" />
  140. // Retrieval info: <generic name="gui_phase_shift_deg7" value="0.0" />
  141. // Retrieval info: <generic name="gui_actual_phase_shift7" value="0" />
  142. // Retrieval info: <generic name="gui_duty_cycle7" value="50" />
  143. // Retrieval info: <generic name="gui_cascade_counter8" value="false" />
  144. // Retrieval info: <generic name="gui_output_clock_frequency8" value="100.0" />
  145. // Retrieval info: <generic name="gui_divide_factor_c8" value="1" />
  146. // Retrieval info: <generic name="gui_actual_output_clock_frequency8" value="0 MHz" />
  147. // Retrieval info: <generic name="gui_ps_units8" value="ps" />
  148. // Retrieval info: <generic name="gui_phase_shift8" value="0" />
  149. // Retrieval info: <generic name="gui_phase_shift_deg8" value="0.0" />
  150. // Retrieval info: <generic name="gui_actual_phase_shift8" value="0" />
  151. // Retrieval info: <generic name="gui_duty_cycle8" value="50" />
  152. // Retrieval info: <generic name="gui_cascade_counter9" value="false" />
  153. // Retrieval info: <generic name="gui_output_clock_frequency9" value="100.0" />
  154. // Retrieval info: <generic name="gui_divide_factor_c9" value="1" />
  155. // Retrieval info: <generic name="gui_actual_output_clock_frequency9" value="0 MHz" />
  156. // Retrieval info: <generic name="gui_ps_units9" value="ps" />
  157. // Retrieval info: <generic name="gui_phase_shift9" value="0" />
  158. // Retrieval info: <generic name="gui_phase_shift_deg9" value="0.0" />
  159. // Retrieval info: <generic name="gui_actual_phase_shift9" value="0" />
  160. // Retrieval info: <generic name="gui_duty_cycle9" value="50" />
  161. // Retrieval info: <generic name="gui_cascade_counter10" value="false" />
  162. // Retrieval info: <generic name="gui_output_clock_frequency10" value="100.0" />
  163. // Retrieval info: <generic name="gui_divide_factor_c10" value="1" />
  164. // Retrieval info: <generic name="gui_actual_output_clock_frequency10" value="0 MHz" />
  165. // Retrieval info: <generic name="gui_ps_units10" value="ps" />
  166. // Retrieval info: <generic name="gui_phase_shift10" value="0" />
  167. // Retrieval info: <generic name="gui_phase_shift_deg10" value="0.0" />
  168. // Retrieval info: <generic name="gui_actual_phase_shift10" value="0" />
  169. // Retrieval info: <generic name="gui_duty_cycle10" value="50" />
  170. // Retrieval info: <generic name="gui_cascade_counter11" value="false" />
  171. // Retrieval info: <generic name="gui_output_clock_frequency11" value="100.0" />
  172. // Retrieval info: <generic name="gui_divide_factor_c11" value="1" />
  173. // Retrieval info: <generic name="gui_actual_output_clock_frequency11" value="0 MHz" />
  174. // Retrieval info: <generic name="gui_ps_units11" value="ps" />
  175. // Retrieval info: <generic name="gui_phase_shift11" value="0" />
  176. // Retrieval info: <generic name="gui_phase_shift_deg11" value="0.0" />
  177. // Retrieval info: <generic name="gui_actual_phase_shift11" value="0" />
  178. // Retrieval info: <generic name="gui_duty_cycle11" value="50" />
  179. // Retrieval info: <generic name="gui_cascade_counter12" value="false" />
  180. // Retrieval info: <generic name="gui_output_clock_frequency12" value="100.0" />
  181. // Retrieval info: <generic name="gui_divide_factor_c12" value="1" />
  182. // Retrieval info: <generic name="gui_actual_output_clock_frequency12" value="0 MHz" />
  183. // Retrieval info: <generic name="gui_ps_units12" value="ps" />
  184. // Retrieval info: <generic name="gui_phase_shift12" value="0" />
  185. // Retrieval info: <generic name="gui_phase_shift_deg12" value="0.0" />
  186. // Retrieval info: <generic name="gui_actual_phase_shift12" value="0" />
  187. // Retrieval info: <generic name="gui_duty_cycle12" value="50" />
  188. // Retrieval info: <generic name="gui_cascade_counter13" value="false" />
  189. // Retrieval info: <generic name="gui_output_clock_frequency13" value="100.0" />
  190. // Retrieval info: <generic name="gui_divide_factor_c13" value="1" />
  191. // Retrieval info: <generic name="gui_actual_output_clock_frequency13" value="0 MHz" />
  192. // Retrieval info: <generic name="gui_ps_units13" value="ps" />
  193. // Retrieval info: <generic name="gui_phase_shift13" value="0" />
  194. // Retrieval info: <generic name="gui_phase_shift_deg13" value="0.0" />
  195. // Retrieval info: <generic name="gui_actual_phase_shift13" value="0" />
  196. // Retrieval info: <generic name="gui_duty_cycle13" value="50" />
  197. // Retrieval info: <generic name="gui_cascade_counter14" value="false" />
  198. // Retrieval info: <generic name="gui_output_clock_frequency14" value="100.0" />
  199. // Retrieval info: <generic name="gui_divide_factor_c14" value="1" />
  200. // Retrieval info: <generic name="gui_actual_output_clock_frequency14" value="0 MHz" />
  201. // Retrieval info: <generic name="gui_ps_units14" value="ps" />
  202. // Retrieval info: <generic name="gui_phase_shift14" value="0" />
  203. // Retrieval info: <generic name="gui_phase_shift_deg14" value="0.0" />
  204. // Retrieval info: <generic name="gui_actual_phase_shift14" value="0" />
  205. // Retrieval info: <generic name="gui_duty_cycle14" value="50" />
  206. // Retrieval info: <generic name="gui_cascade_counter15" value="false" />
  207. // Retrieval info: <generic name="gui_output_clock_frequency15" value="100.0" />
  208. // Retrieval info: <generic name="gui_divide_factor_c15" value="1" />
  209. // Retrieval info: <generic name="gui_actual_output_clock_frequency15" value="0 MHz" />
  210. // Retrieval info: <generic name="gui_ps_units15" value="ps" />
  211. // Retrieval info: <generic name="gui_phase_shift15" value="0" />
  212. // Retrieval info: <generic name="gui_phase_shift_deg15" value="0.0" />
  213. // Retrieval info: <generic name="gui_actual_phase_shift15" value="0" />
  214. // Retrieval info: <generic name="gui_duty_cycle15" value="50" />
  215. // Retrieval info: <generic name="gui_cascade_counter16" value="false" />
  216. // Retrieval info: <generic name="gui_output_clock_frequency16" value="100.0" />
  217. // Retrieval info: <generic name="gui_divide_factor_c16" value="1" />
  218. // Retrieval info: <generic name="gui_actual_output_clock_frequency16" value="0 MHz" />
  219. // Retrieval info: <generic name="gui_ps_units16" value="ps" />
  220. // Retrieval info: <generic name="gui_phase_shift16" value="0" />
  221. // Retrieval info: <generic name="gui_phase_shift_deg16" value="0.0" />
  222. // Retrieval info: <generic name="gui_actual_phase_shift16" value="0" />
  223. // Retrieval info: <generic name="gui_duty_cycle16" value="50" />
  224. // Retrieval info: <generic name="gui_cascade_counter17" value="false" />
  225. // Retrieval info: <generic name="gui_output_clock_frequency17" value="100.0" />
  226. // Retrieval info: <generic name="gui_divide_factor_c17" value="1" />
  227. // Retrieval info: <generic name="gui_actual_output_clock_frequency17" value="0 MHz" />
  228. // Retrieval info: <generic name="gui_ps_units17" value="ps" />
  229. // Retrieval info: <generic name="gui_phase_shift17" value="0" />
  230. // Retrieval info: <generic name="gui_phase_shift_deg17" value="0.0" />
  231. // Retrieval info: <generic name="gui_actual_phase_shift17" value="0" />
  232. // Retrieval info: <generic name="gui_duty_cycle17" value="50" />
  233. // Retrieval info: <generic name="gui_pll_auto_reset" value="Off" />
  234. // Retrieval info: <generic name="gui_pll_bandwidth_preset" value="Auto" />
  235. // Retrieval info: <generic name="gui_en_reconf" value="false" />
  236. // Retrieval info: <generic name="gui_en_dps_ports" value="false" />
  237. // Retrieval info: <generic name="gui_en_phout_ports" value="false" />
  238. // Retrieval info: <generic name="gui_phout_division" value="1" />
  239. // Retrieval info: <generic name="gui_mif_generate" value="false" />
  240. // Retrieval info: <generic name="gui_enable_mif_dps" value="false" />
  241. // Retrieval info: <generic name="gui_dps_cntr" value="C0" />
  242. // Retrieval info: <generic name="gui_dps_num" value="1" />
  243. // Retrieval info: <generic name="gui_dps_dir" value="Positive" />
  244. // Retrieval info: <generic name="gui_refclk_switch" value="false" />
  245. // Retrieval info: <generic name="gui_refclk1_frequency" value="100.0" />
  246. // Retrieval info: <generic name="gui_switchover_mode" value="Automatic Switchover" />
  247. // Retrieval info: <generic name="gui_switchover_delay" value="0" />
  248. // Retrieval info: <generic name="gui_active_clk" value="false" />
  249. // Retrieval info: <generic name="gui_clk_bad" value="false" />
  250. // Retrieval info: <generic name="gui_enable_cascade_out" value="false" />
  251. // Retrieval info: <generic name="gui_cascade_outclk_index" value="0" />
  252. // Retrieval info: <generic name="gui_enable_cascade_in" value="false" />
  253. // Retrieval info: <generic name="gui_pll_cascading_mode" value="Create an adjpllin signal to connect with an upstream PLL" />
  254. // Retrieval info: </instance>
  255. // IPFS_FILES : mainpll.vo
  256. // RELATED_FILES: mainpll.v, mainpll_0002.v