FPGC.qsf 13 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276
  1. # -------------------------------------------------------------------------- #
  2. #
  3. # Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
  4. # Your use of Altera Corporation's design tools, logic functions
  5. # and other software and tools, and its AMPP partner logic
  6. # functions, and any output files from any of the foregoing
  7. # (including device programming or simulation files), and any
  8. # associated documentation or information are expressly subject
  9. # to the terms and conditions of the Altera Program License
  10. # Subscription Agreement, the Altera Quartus Prime License Agreement,
  11. # the Altera MegaCore Function License Agreement, or other
  12. # applicable license agreement, including, without limitation,
  13. # that your use is for the sole purpose of programming logic
  14. # devices manufactured by Altera and sold by Altera or its
  15. # authorized distributors. Please refer to the applicable
  16. # agreement for further details.
  17. #
  18. # -------------------------------------------------------------------------- #
  19. #
  20. # Quartus Prime
  21. # Version 15.1.0 Build 185 10/21/2015 SJ Standard Edition
  22. # Date created = 20:47:05 November 27, 2017
  23. #
  24. # -------------------------------------------------------------------------- #
  25. #
  26. # Notes:
  27. #
  28. # 1) The default values for assignments are stored in the file:
  29. # FPGC_assignment_defaults.qdf
  30. # If this file doesn't exist, see file:
  31. # assignment_defaults.qdf
  32. #
  33. # 2) Altera recommends that you do not modify this file. This
  34. # file is updated automatically by the Quartus Prime software
  35. # and any changes you make may be lost or overwritten.
  36. #
  37. # -------------------------------------------------------------------------- #
  38. set_global_assignment -name FAMILY "Cyclone V"
  39. set_global_assignment -name DEVICE 5CEFA5F23I7
  40. set_global_assignment -name TOP_LEVEL_ENTITY FPGC
  41. set_global_assignment -name ORIGINAL_QUARTUS_VERSION 21.1.1
  42. set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:46:59 DECEMBER 09, 2022"
  43. set_global_assignment -name LAST_QUARTUS_VERSION "21.1.1 Lite Edition"
  44. set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
  45. set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40"
  46. set_global_assignment -name MAX_CORE_JUNCTION_TEMP 100
  47. set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
  48. set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
  49. set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
  50. set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
  51. set_location_assignment PIN_M9 -to clock
  52. set_location_assignment PIN_V19 -to led
  53. set_location_assignment PIN_AB18 -to nreset
  54. set_location_assignment PIN_H20 -to SDRAM_A[12]
  55. set_location_assignment PIN_H18 -to SDRAM_A[11]
  56. set_location_assignment PIN_N19 -to SDRAM_A[10]
  57. set_location_assignment PIN_J19 -to SDRAM_A[9]
  58. set_location_assignment PIN_J18 -to SDRAM_A[8]
  59. set_location_assignment PIN_K17 -to SDRAM_A[7]
  60. set_location_assignment PIN_K16 -to SDRAM_A[6]
  61. set_location_assignment PIN_L18 -to SDRAM_A[5]
  62. set_location_assignment PIN_L19 -to SDRAM_A[4]
  63. set_location_assignment PIN_L17 -to SDRAM_A[3]
  64. set_location_assignment PIN_M16 -to SDRAM_A[2]
  65. set_location_assignment PIN_M20 -to SDRAM_A[1]
  66. set_location_assignment PIN_M18 -to SDRAM_A[0]
  67. set_location_assignment PIN_P18 -to SDRAM_BA[1]
  68. set_location_assignment PIN_P19 -to SDRAM_BA[0]
  69. set_location_assignment PIN_T19 -to SDRAM_CASn
  70. set_location_assignment PIN_G17 -to SDRAM_CKE
  71. set_location_assignment PIN_G18 -to SDRAM_CLK
  72. set_location_assignment PIN_P17 -to SDRAM_CSn
  73. set_location_assignment PIN_U20 -to SDRAM_WEn
  74. set_location_assignment PIN_P16 -to SDRAM_RASn
  75. set_location_assignment PIN_AA22 -to SDRAM_DQ[0]
  76. set_location_assignment PIN_AB22 -to SDRAM_DQ[1]
  77. set_location_assignment PIN_Y22 -to SDRAM_DQ[2]
  78. set_location_assignment PIN_Y21 -to SDRAM_DQ[3]
  79. set_location_assignment PIN_W22 -to SDRAM_DQ[4]
  80. set_location_assignment PIN_W21 -to SDRAM_DQ[5]
  81. set_location_assignment PIN_V21 -to SDRAM_DQ[6]
  82. set_location_assignment PIN_U22 -to SDRAM_DQ[7]
  83. set_location_assignment PIN_M21 -to SDRAM_DQ[8]
  84. set_location_assignment PIN_M22 -to SDRAM_DQ[9]
  85. set_location_assignment PIN_T22 -to SDRAM_DQ[10]
  86. set_location_assignment PIN_R21 -to SDRAM_DQ[11]
  87. set_location_assignment PIN_R22 -to SDRAM_DQ[12]
  88. set_location_assignment PIN_P22 -to SDRAM_DQ[13]
  89. set_location_assignment PIN_N20 -to SDRAM_DQ[14]
  90. set_location_assignment PIN_N21 -to SDRAM_DQ[15]
  91. set_location_assignment PIN_K22 -to SDRAM_DQ[16]
  92. set_location_assignment PIN_K21 -to SDRAM_DQ[17]
  93. set_location_assignment PIN_J22 -to SDRAM_DQ[18]
  94. set_location_assignment PIN_J21 -to SDRAM_DQ[19]
  95. set_location_assignment PIN_H21 -to SDRAM_DQ[20]
  96. set_location_assignment PIN_G22 -to SDRAM_DQ[21]
  97. set_location_assignment PIN_G21 -to SDRAM_DQ[22]
  98. set_location_assignment PIN_F22 -to SDRAM_DQ[23]
  99. set_location_assignment PIN_E22 -to SDRAM_DQ[24]
  100. set_location_assignment PIN_E20 -to SDRAM_DQ[25]
  101. set_location_assignment PIN_D22 -to SDRAM_DQ[26]
  102. set_location_assignment PIN_D21 -to SDRAM_DQ[27]
  103. set_location_assignment PIN_C21 -to SDRAM_DQ[28]
  104. set_location_assignment PIN_B22 -to SDRAM_DQ[29]
  105. set_location_assignment PIN_A22 -to SDRAM_DQ[30]
  106. set_location_assignment PIN_B21 -to SDRAM_DQ[31]
  107. set_location_assignment PIN_U21 -to SDRAM_DQM[0]
  108. set_location_assignment PIN_L22 -to SDRAM_DQM[1]
  109. set_location_assignment PIN_K20 -to SDRAM_DQM[2]
  110. set_location_assignment PIN_E21 -to SDRAM_DQM[3]
  111. set_location_assignment PIN_R5 -to UART0_dtr
  112. set_location_assignment PIN_M7 -to UART0_out
  113. set_location_assignment PIN_N6 -to UART0_in
  114. set_location_assignment PIN_AA14 -to UART2_out
  115. set_location_assignment PIN_Y14 -to UART2_in
  116. set_location_assignment PIN_R9 -to SPI0_clk
  117. set_location_assignment PIN_M6 -to SPI0_cs
  118. set_location_assignment PIN_U12 -to SPI0_data
  119. set_location_assignment PIN_T8 -to SPI0_q
  120. set_location_assignment PIN_N8 -to SPI0_wp
  121. set_location_assignment PIN_V10 -to SPI0_hold
  122. set_location_assignment PIN_AB20 -to SPI1_clk
  123. set_location_assignment PIN_AB21 -to SPI1_cs
  124. set_location_assignment PIN_AA20 -to SPI1_mosi
  125. set_location_assignment PIN_AA19 -to SPI1_miso
  126. set_location_assignment PIN_Y19 -to SPI1_rst
  127. set_location_assignment PIN_Y20 -to SPI1_nint
  128. set_location_assignment PIN_R15 -to SPI2_clk
  129. set_location_assignment PIN_W19 -to SPI2_cs
  130. set_location_assignment PIN_R17 -to SPI2_mosi
  131. set_location_assignment PIN_T15 -to SPI2_miso
  132. set_location_assignment PIN_U17 -to SPI2_rst
  133. set_location_assignment PIN_R16 -to SPI2_nint
  134. set_location_assignment PIN_T12 -to PS2_data
  135. set_location_assignment PIN_AB15 -to PS2_clk
  136. set_location_assignment PIN_AA7 -to SPI3_cs
  137. set_location_assignment PIN_AB5 -to SPI3_clk
  138. set_location_assignment PIN_AB6 -to SPI3_mosi
  139. set_location_assignment PIN_AB8 -to SPI3_miso
  140. set_location_assignment PIN_AA8 -to SPI3_nrst
  141. set_location_assignment PIN_AB7 -to SPI3_int
  142. set_location_assignment PIN_E19 -to SPI4_cs
  143. set_location_assignment PIN_C20 -to SPI4_clk
  144. set_location_assignment PIN_A20 -to SPI4_mosi
  145. set_location_assignment PIN_C19 -to SPI4_miso
  146. set_location_assignment PIN_F19 -to SPI4_gp
  147. set_location_assignment PIN_B12 -to GPI[0]
  148. set_location_assignment PIN_B13 -to GPI[1]
  149. set_location_assignment PIN_A15 -to GPI[2]
  150. set_location_assignment PIN_E15 -to GPI[3]
  151. set_location_assignment PIN_C15 -to GPO[0]
  152. set_location_assignment PIN_B16 -to GPO[1]
  153. set_location_assignment PIN_B18 -to GPO[2]
  154. set_location_assignment PIN_A18 -to GPO[3]
  155. set_location_assignment PIN_P14 -to DIPS[0]
  156. set_location_assignment PIN_U16 -to DIPS[1]
  157. set_location_assignment PIN_AB17 -to DIPS[2]
  158. set_location_assignment PIN_V20 -to DIPS[3]
  159. set_location_assignment PIN_B6 -to TMDS_p[3]
  160. set_location_assignment PIN_B7 -to TMDS_n[3]
  161. set_location_assignment PIN_L7 -to TMDS_p[2]
  162. set_location_assignment PIN_K7 -to TMDS_n[2]
  163. set_location_assignment PIN_J7 -to TMDS_p[1]
  164. set_location_assignment PIN_J8 -to TMDS_n[1]
  165. set_location_assignment PIN_A8 -to TMDS_p[0]
  166. set_location_assignment PIN_A7 -to TMDS_n[0]
  167. set_location_assignment PIN_R14 -to led_Booted
  168. set_location_assignment PIN_C18 -to led_Eth
  169. set_location_assignment PIN_B17 -to led_Flash
  170. set_location_assignment PIN_AA15 -to led_USB0
  171. set_location_assignment PIN_C16 -to led_USB1
  172. set_location_assignment PIN_F18 -to led_PS2
  173. set_location_assignment PIN_A19 -to led_HDMI
  174. set_location_assignment PIN_A17 -to led_QSPI
  175. set_location_assignment PIN_B20 -to led_GPU
  176. set_location_assignment PIN_D19 -to led_I2S
  177. set_location_assignment PIN_AB12 -to I2S_SDIN
  178. set_location_assignment PIN_Y15 -to I2S_SCLK
  179. set_location_assignment PIN_AA13 -to I2S_LRCLK
  180. set_location_assignment PIN_R11 -to I2S_MCLK
  181. set_location_assignment PIN_B15 -to composite[0]
  182. set_location_assignment PIN_F15 -to composite[1]
  183. set_location_assignment PIN_A14 -to composite[2]
  184. set_location_assignment PIN_A13 -to composite[3]
  185. set_location_assignment PIN_A12 -to composite[4]
  186. set_location_assignment PIN_F14 -to composite[5]
  187. set_location_assignment PIN_G13 -to composite[6]
  188. set_location_assignment PIN_E12 -to composite[7]
  189. # set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 8A
  190. set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
  191. set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
  192. set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
  193. #set_instance_assignment -name IO_STANDARD LVDS -to TMDS_p[3]
  194. #set_instance_assignment -name IO_STANDARD LVDS -to TMDS_n[3]
  195. #set_instance_assignment -name IO_STANDARD LVDS -to TMDS_p[2]
  196. #set_instance_assignment -name IO_STANDARD LVDS -to TMDS_n[2]
  197. #set_instance_assignment -name IO_STANDARD LVDS -to TMDS_p[1]
  198. #set_instance_assignment -name IO_STANDARD LVDS -to TMDS_n[1]
  199. #set_instance_assignment -name IO_STANDARD LVDS -to TMDS_p[0]
  200. #set_instance_assignment -name IO_STANDARD LVDS -to TMDS_n[0]
  201. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to GPI[0]
  202. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to GPI[1]
  203. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to GPI[2]
  204. set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to GPI[3]
  205. set_global_assignment -name OPTIMIZATION_MODE "HIGH PERFORMANCE EFFORT"
  206. set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS ON
  207. set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
  208. set_global_assignment -name ALM_REGISTER_PACKING_EFFORT LOW
  209. set_global_assignment -name SDC_FILE FPGC.sdc
  210. set_global_assignment -name VERILOG_FILE modules/FPGC.v
  211. set_global_assignment -name VERILOG_FILE modules/IO/MillisCounter.v
  212. set_global_assignment -name VERILOG_FILE modules/IO/IDivider.v
  213. set_global_assignment -name VERILOG_FILE modules/IO/FPDivider.v
  214. set_global_assignment -name VERILOG_FILE modules/Memory/L1Icache.v
  215. set_global_assignment -name VERILOG_FILE modules/Memory/L1Dcache.v
  216. set_global_assignment -name VERILOG_FILE modules/Memory/L2cache.v
  217. set_global_assignment -name VERILOG_FILE modules/GPU/NTSC/RGBtoYPhaseAmpl.v
  218. set_global_assignment -name VERILOG_FILE modules/GPU/NTSC/RGB332toNTSC.v
  219. set_global_assignment -name VERILOG_FILE modules/GPU/NTSC/PhaseGen.v
  220. set_global_assignment -name VERILOG_FILE modules/GPU/NTSC/NTSC.v
  221. set_global_assignment -name VERILOG_FILE modules/GPU/PixelEngine.v
  222. set_global_assignment -name VERILOG_FILE modules/GPU/FSX.v
  223. set_global_assignment -name VERILOG_FILE modules/GPU/HDMI/TMDSenc.v
  224. set_global_assignment -name VERILOG_FILE modules/GPU/HDMI/RGB2HDMI.v
  225. set_global_assignment -name VERILOG_FILE modules/GPU/TimingGenerator.v
  226. set_global_assignment -name VERILOG_FILE modules/GPU/BGWrenderer.v
  227. set_global_assignment -name VERILOG_FILE modules/IO/LEDvisualizer.v
  228. set_global_assignment -name VERILOG_FILE modules/IO/SimpleSPI.v
  229. set_global_assignment -name VERILOG_FILE modules/IO/UARTrx.v
  230. set_global_assignment -name VERILOG_FILE modules/IO/UARTtx.v
  231. set_global_assignment -name VERILOG_FILE modules/IO/OStimer.v
  232. set_global_assignment -name VERILOG_FILE modules/IO/NESpadReader.v
  233. set_global_assignment -name VERILOG_FILE modules/IO/Keyboard.v
  234. set_global_assignment -name VERILOG_FILE modules/CPU/ALU.v
  235. set_global_assignment -name VERILOG_FILE modules/CPU/Arbiter.v
  236. set_global_assignment -name VERILOG_FILE modules/CPU/ControlUnit.v
  237. set_global_assignment -name VERILOG_FILE modules/CPU/CPU.v
  238. set_global_assignment -name VERILOG_FILE modules/CPU/DataMem.v
  239. set_global_assignment -name VERILOG_FILE modules/CPU/InstrMem.v
  240. set_global_assignment -name VERILOG_FILE modules/CPU/InstructionDecoder.v
  241. set_global_assignment -name VERILOG_FILE modules/CPU/Regbank.v
  242. set_global_assignment -name VERILOG_FILE modules/CPU/Regr.v
  243. set_global_assignment -name VERILOG_FILE modules/CPU/Stack.v
  244. set_global_assignment -name VERILOG_FILE modules/CPU/IntController.v
  245. set_global_assignment -name VERILOG_FILE modules/Memory/VRAM.v
  246. set_global_assignment -name VERILOG_FILE modules/Memory/SPIreader.v
  247. set_global_assignment -name VERILOG_FILE modules/Memory/SDRAMcontroller.v
  248. set_global_assignment -name VERILOG_FILE modules/Memory/ROM.v
  249. set_global_assignment -name VERILOG_FILE modules/Memory/MemoryUnit.v
  250. set_global_assignment -name VERILOG_FILE modules/MultiStabilizer.v
  251. set_global_assignment -name VERILOG_FILE modules/DtrReset.v
  252. set_global_assignment -name QIP_FILE ddr.qip
  253. set_global_assignment -name QIP_FILE mainpll.qip
  254. set_global_assignment -name SIP_FILE mainpll.sip
  255. set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top