1
0

bus.gtkw 829 B

1234567891011121314151617181920212223242526272829303132333435363738
  1. [*]
  2. [*] GTKWave Analyzer v3.3.116 (w)1999-2023 BSI
  3. [*] Sat Aug 24 20:55:07 2024
  4. [*]
  5. [dumpfile] "/home/bart/Documents/FPGA/FPGC6/Verilog/output/wave.vcd"
  6. [dumpfile_mtime] "Sat Aug 24 20:49:42 2024"
  7. [dumpfile_size] 8928
  8. [savefile] "/home/bart/Documents/FPGA/FPGC6/Verilog/output/bus.gtkw"
  9. [timestart] 0
  10. [size] 1545 1001
  11. [pos] -1 -1
  12. *-7.200000 1007 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
  13. [treeopen] busProtocol_tb.
  14. [sst_width] 278
  15. [signals_width] 142
  16. [sst_expanded] 1
  17. [sst_vpaned_height] 297
  18. @28
  19. busProtocol_tb.clk
  20. busProtocol_tb.reset
  21. @24
  22. busProtocol_tb.bus_data[31:0]
  23. busProtocol_tb.bus_we
  24. @25
  25. busProtocol_tb.bus_addr[26:0]
  26. @24
  27. busProtocol_tb.bus_q[31:0]
  28. @200
  29. -
  30. @24
  31. busProtocol_tb.bus_start
  32. busProtocol_tb.bus_done
  33. @28
  34. busProtocol_tb.cpu.bus_ready
  35. @200
  36. -
  37. [pattern_trace] 1
  38. [pattern_trace] 0