clkMux.qip 4.4 KB

123456789101112131415161718192021222324252627282930313233343536373839
  1. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_TOOL_NAME "Qsys"
  2. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_TOOL_VERSION "21.1"
  3. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_TOOL_ENV "Qsys"
  4. set_global_assignment -library "clkMux" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../clkMux.sopcinfo"]
  5. set_global_assignment -entity "clkMux" -library "clkMux" -name SLD_INFO "QSYS_NAME clkMux HAS_SOPCINFO 1 GENERATION_ID 1656928754"
  6. set_global_assignment -library "clkMux" -name MISC_FILE [file join $::quartus(qip_path) "../clkMux.cmp"]
  7. set_global_assignment -library "clkMux" -name SLD_FILE [file join $::quartus(qip_path) "clkMux.debuginfo"]
  8. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_TARGETED_DEVICE_FAMILY "Cyclone IV E"
  9. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}"
  10. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_QSYS_MODE "STANDALONE"
  11. set_global_assignment -name SYNTHESIS_ONLY_QIP ON
  12. set_global_assignment -library "clkMux" -name MISC_FILE [file join $::quartus(qip_path) "../../clkMux.qsys"]
  13. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_COMPONENT_NAME "Y2xrTXV4"
  14. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_COMPONENT_DISPLAY_NAME "Y2xrTXV4"
  15. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_COMPONENT_REPORT_HIERARCHY "On"
  16. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_COMPONENT_INTERNAL "Off"
  17. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_COMPONENT_VERSION "MS4w"
  18. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY1NjkyODc1NA==::QXV0byBHRU5FUkFUSU9OX0lE"
  19. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBJViBF::QXV0byBERVZJQ0VfRkFNSUxZ"
  20. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0Q0UxNUYyM0M4::QXV0byBERVZJQ0U="
  21. set_global_assignment -entity "clkMux" -library "clkMux" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::OA==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ=="
  22. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_NAME "Y2xrTXV4X2FsdGNsa2N0cmxfMA=="
  23. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_DISPLAY_NAME "QUxUQ0xLQ1RSTCBJbnRlbCBGUEdBIElQ"
  24. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
  25. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_INTERNAL "Off"
  26. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24="
  27. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_VERSION "MjEuMQ=="
  28. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_PARAMETER "REVWSUNFX0ZBTUlMWQ==::Q3ljbG9uZSBJViBF::RGV2aWNlIEZhbWlseQ=="
  29. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_PARAMETER "Q0xPQ0tfVFlQRQ==::MQ==::SG93IGRvIHlvdSB3YW50IHRvIHVzZSB0aGUgQUxUQ0xLQ1RSTD8="
  30. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_PARAMETER "TlVNQkVSX09GX0NMT0NLUw==::Mg==::SG93IG1hbnkgY2xvY2sgaW5wdXRzIHdvdWxkIHlvdSBsaWtlPw=="
  31. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_PARAMETER "R1VJX1VTRV9FTkE=::ZmFsc2U=::Q3JlYXRlICdlbmEnIHBvcnQgdG8gZW5hYmxlIG9yIGRpc2FibGUgdGhlIGNsb2NrIG5ldHdvcmsgZHJpdmVuIGJ5IHRoaXMgYnVmZmVyPw=="
  32. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_COMPONENT_PARAMETER "VVNFX0dMSVRDSF9GUkVFX1NXSVRDSF9PVkVSX0lNUExFTUVOVEFUSU9O::ZmFsc2U=::RW5zdXJlIGdsaXRjaC1mcmVlIHN3aXRjaG92ZXIgaW1wbGVtZW50YXRpb24="
  33. set_global_assignment -library "clkMux" -name VERILOG_FILE [file join $::quartus(qip_path) "clkMux.v"]
  34. set_global_assignment -library "clkMux" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/clkMux_altclkctrl_0.v"]
  35. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_TOOL_NAME "altclkctrl"
  36. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_TOOL_VERSION "21.1"
  37. set_global_assignment -entity "clkMux_altclkctrl_0" -library "clkMux" -name IP_TOOL_ENV "Qsys"