1
0

clkMux_bb.v 141 B

123456789101112
  1. module clkMux (
  2. inclk1x,
  3. inclk0x,
  4. clkselect,
  5. outclk);
  6. input inclk1x;
  7. input inclk0x;
  8. input clkselect;
  9. output outclk;
  10. endmodule