clkMux_altclkctrl_0.v 4.6 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136
  1. //altclkctrl CBX_SINGLE_OUTPUT_FILE="ON" CLOCK_TYPE="Global Clock" DEVICE_FAMILY="Cyclone IV E" ENA_REGISTER_MODE="falling edge" USE_GLITCH_FREE_SWITCH_OVER_IMPLEMENTATION="OFF" clkselect ena inclk outclk
  2. //VERSION_BEGIN 21.1 cbx_altclkbuf 2022:06:23:22:02:32:SJ cbx_cycloneii 2022:06:23:22:02:32:SJ cbx_lpm_add_sub 2022:06:23:22:02:32:SJ cbx_lpm_compare 2022:06:23:22:02:32:SJ cbx_lpm_decode 2022:06:23:22:02:32:SJ cbx_lpm_mux 2022:06:23:22:02:32:SJ cbx_mgl 2022:06:23:22:26:17:SJ cbx_nadder 2022:06:23:22:02:32:SJ cbx_stratix 2022:06:23:22:02:32:SJ cbx_stratixii 2022:06:23:22:02:32:SJ cbx_stratixiii 2022:06:23:22:02:32:SJ cbx_stratixv 2022:06:23:22:02:32:SJ VERSION_END
  3. // synthesis VERILOG_INPUT_VERSION VERILOG_2001
  4. // altera message_off 10463
  5. // Copyright (C) 2022 Intel Corporation. All rights reserved.
  6. // Your use of Intel Corporation's design tools, logic functions
  7. // and other software and tools, and any partner logic
  8. // functions, and any output files from any of the foregoing
  9. // (including device programming or simulation files), and any
  10. // associated documentation or information are expressly subject
  11. // to the terms and conditions of the Intel Program License
  12. // Subscription Agreement, the Intel Quartus Prime License Agreement,
  13. // the Intel FPGA IP License Agreement, or other applicable license
  14. // agreement, including, without limitation, that your use is for
  15. // the sole purpose of programming logic devices manufactured by
  16. // Intel and sold by Intel or its authorized distributors. Please
  17. // refer to the applicable agreement for further details, at
  18. // https://fpgasoftware.intel.com/eula.
  19. //synthesis_resources = clkctrl 1
  20. //synopsys translate_off
  21. `timescale 1 ps / 1 ps
  22. //synopsys translate_on
  23. module clkMux_altclkctrl_0_sub
  24. (
  25. clkselect,
  26. ena,
  27. inclk,
  28. outclk) /* synthesis synthesis_clearbox=1 */;
  29. input [1:0] clkselect;
  30. input ena;
  31. input [3:0] inclk;
  32. output outclk;
  33. `ifndef ALTERA_RESERVED_QIS
  34. // synopsys translate_off
  35. `endif
  36. tri0 [1:0] clkselect;
  37. tri1 ena;
  38. tri0 [3:0] inclk;
  39. `ifndef ALTERA_RESERVED_QIS
  40. // synopsys translate_on
  41. `endif
  42. wire wire_clkctrl1_outclk;
  43. wire [1:0] clkselect_wire;
  44. wire [3:0] inclk_wire;
  45. cycloneive_clkctrl clkctrl1
  46. (
  47. .clkselect(clkselect_wire),
  48. .ena(ena),
  49. .inclk(inclk_wire),
  50. .outclk(wire_clkctrl1_outclk)
  51. // synopsys translate_off
  52. ,
  53. .devclrn(1'b1),
  54. .devpor(1'b1)
  55. // synopsys translate_on
  56. );
  57. defparam
  58. clkctrl1.clock_type = "Global Clock",
  59. clkctrl1.ena_register_mode = "falling edge",
  60. clkctrl1.lpm_type = "cycloneive_clkctrl";
  61. assign
  62. clkselect_wire = {clkselect},
  63. inclk_wire = {inclk},
  64. outclk = wire_clkctrl1_outclk;
  65. endmodule //clkMux_altclkctrl_0_sub
  66. //VALID FILE // (C) 2001-2022 Intel Corporation. All rights reserved.
  67. // Your use of Intel Corporation's design tools, logic functions and other
  68. // software and tools, and its AMPP partner logic functions, and any output
  69. // files from any of the foregoing (including device programming or simulation
  70. // files), and any associated documentation or information are expressly subject
  71. // to the terms and conditions of the Intel Program License Subscription
  72. // Agreement, Intel FPGA IP License Agreement, or other applicable
  73. // license agreement, including, without limitation, that your use is for the
  74. // sole purpose of programming logic devices manufactured by Intel and sold by
  75. // Intel or its authorized distributors. Please refer to the applicable
  76. // agreement for further details.
  77. // synopsys translate_off
  78. `timescale 1 ps / 1 ps
  79. // synopsys translate_on
  80. module clkMux_altclkctrl_0 (
  81. clkselect,
  82. inclk0x,
  83. inclk1x,
  84. outclk);
  85. input clkselect;
  86. input inclk0x;
  87. input inclk1x;
  88. output outclk;
  89. `ifndef ALTERA_RESERVED_QIS
  90. // synopsys translate_off
  91. `endif
  92. tri0 clkselect;
  93. `ifndef ALTERA_RESERVED_QIS
  94. // synopsys translate_on
  95. `endif
  96. wire sub_wire0;
  97. wire outclk;
  98. wire sub_wire1;
  99. wire [1:0] sub_wire2;
  100. wire [0:0] sub_wire3;
  101. wire sub_wire4;
  102. wire sub_wire5;
  103. wire [3:0] sub_wire6;
  104. wire sub_wire7;
  105. wire [1:0] sub_wire8;
  106. assign outclk = sub_wire0;
  107. assign sub_wire1 = clkselect;
  108. assign sub_wire2[1:0] = {sub_wire3, sub_wire1};
  109. assign sub_wire3[0:0] = 1'h0;
  110. assign sub_wire4 = 1'h1;
  111. assign sub_wire5 = inclk0x;
  112. assign sub_wire6[3:0] = {sub_wire8, sub_wire7, sub_wire5};
  113. assign sub_wire7 = inclk1x;
  114. assign sub_wire8[1:0] = 2'h0;
  115. clkMux_altclkctrl_0_sub clkMux_altclkctrl_0_sub_component (
  116. .clkselect (sub_wire2),
  117. .ena (sub_wire4),
  118. .inclk (sub_wire6),
  119. .outclk (sub_wire0));
  120. endmodule