clkMux_inst.vhd 571 B

1234567891011121314151617
  1. component clkMux is
  2. port (
  3. inclk1x : in std_logic := 'X'; -- inclk1x
  4. inclk0x : in std_logic := 'X'; -- inclk0x
  5. clkselect : in std_logic := 'X'; -- clkselect
  6. outclk : out std_logic -- outclk
  7. );
  8. end component clkMux;
  9. u0 : component clkMux
  10. port map (
  11. inclk1x => CONNECTED_TO_inclk1x, -- altclkctrl_input.inclk1x
  12. inclk0x => CONNECTED_TO_inclk0x, -- .inclk0x
  13. clkselect => CONNECTED_TO_clkselect, -- .clkselect
  14. outclk => CONNECTED_TO_outclk -- altclkctrl_output.outclk
  15. );