component clkMux is port ( inclk1x : in std_logic := 'X'; -- inclk1x inclk0x : in std_logic := 'X'; -- inclk0x clkselect : in std_logic := 'X'; -- clkselect outclk : out std_logic -- outclk ); end component clkMux;