// megafunction wizard: %ALTIOBUF%VBB% // GENERATION: STANDARD // VERSION: WM1.0 // MODULE: altiobuf_out // ============================================================ // File Name: lvds.v // Megafunction Name(s): // altiobuf_out // // Simulation Library Files(s): // // ============================================================ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // // 21.1.1 Build 850 06/23/2022 SJ Lite Edition // ************************************************************ //Copyright (C) 2022 Intel Corporation. All rights reserved. //Your use of Intel Corporation's design tools, logic functions //and other software and tools, and any partner logic //functions, and any output files from any of the foregoing //(including device programming or simulation files), and any //associated documentation or information are expressly subject //to the terms and conditions of the Intel Program License //Subscription Agreement, the Intel Quartus Prime License Agreement, //the Intel FPGA IP License Agreement, or other applicable license //agreement, including, without limitation, that your use is for //the sole purpose of programming logic devices manufactured by //Intel and sold by Intel or its authorized distributors. Please //refer to the applicable agreement for further details, at //https://fpgasoftware.intel.com/eula. module lvds ( datain, dataout, dataout_b)/* synthesis synthesis_clearbox = 1 */; input [3:0] datain; output [3:0] dataout; output [3:0] dataout_b; endmodule // ============================================================ // CNX file retrieval info // ============================================================ // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" // Retrieval info: CONSTANT: enable_bus_hold STRING "FALSE" // Retrieval info: CONSTANT: left_shift_series_termination_control STRING "FALSE" // Retrieval info: CONSTANT: number_of_channels NUMERIC "4" // Retrieval info: CONSTANT: open_drain_output STRING "FALSE" // Retrieval info: CONSTANT: pseudo_differential_mode STRING "FALSE" // Retrieval info: CONSTANT: use_differential_mode STRING "TRUE" // Retrieval info: CONSTANT: use_oe STRING "FALSE" // Retrieval info: CONSTANT: use_termination_control STRING "FALSE" // Retrieval info: USED_PORT: datain 0 0 4 0 INPUT NODEFVAL "datain[3..0]" // Retrieval info: USED_PORT: dataout 0 0 4 0 OUTPUT NODEFVAL "dataout[3..0]" // Retrieval info: USED_PORT: dataout_b 0 0 4 0 OUTPUT NODEFVAL "dataout_b[3..0]" // Retrieval info: CONNECT: @datain 0 0 4 0 datain 0 0 4 0 // Retrieval info: CONNECT: dataout 0 0 4 0 @dataout 0 0 4 0 // Retrieval info: CONNECT: dataout_b 0 0 4 0 @dataout_b 0 0 4 0 // Retrieval info: GEN_FILE: TYPE_NORMAL lvds.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL lvds.inc FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL lvds.cmp FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL lvds.bsf FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL lvds_inst.v FALSE // Retrieval info: GEN_FILE: TYPE_NORMAL lvds_bb.v TRUE